[color=#000][size=3][table=98%]
[tr][td=72][align=right][/td][td=441]icc2[/align][/td][td=608][align=center]innovus[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_host_options[/align][/td][td][align=right]setMultiCpuUsage[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_attribute cell dont_use[/align][/td][td][align=right]setDontUse[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]save_lib \ save_block[/align][/td][td][align=right]saveDesign[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]set_attribute cell origin
set_attribute cell orientation
set_attribute cell physical_status
set_fixed_object[/align][/td][td=608][align=right]setInstancePlacementStatus
placeInstance
fixAllIos[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]legalize_placement[/align][/td][td][align=right]refinePlace[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]create_keepout_margin
set_placement_spacing_lable
set_placement_spacing_rule
report_placement_spacing_rules
remove_placement_spacing_rules[/align][/td][td=608][align=right]addHaloToBlock
specifyInstPad
specifyCellPad
specifyCellEdgeType
specifyCellDegeSpacing
report_cell_edge_spacing
deleteCellEdgeSpacing[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]create_placement_blockage[/align][/td][td][align=right]createPlaceBlockage[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]plan.place.auto_generate_hard_blockage_channel_width
plan.place.auto_generate_soft_blockage_channel_width
derive_placement_blockages[/align][/td][td=608][align=right]setFinishFPlanMode
finishFloorplan[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]connect_pg_net[/align][/td][td][align=right]globalNetConnect[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]create_routing_rule
report_routing_rules[/align][/td][td=608][align=right]add_ndr
modify_ndr[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right][/td][td][/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_operating_conditions[/align][/td][td][align=right]setAnalysisMode[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]create_routing_rule[/align][/td][td][align=right]add_ndr[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_routing_rule[/align][/td][td][align=right]create_route_type[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_extraction_options[/align][/td][td][align=right]setExtractRCMode[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]place_opt.flow.enable_ccd
clock_opt.flow.enable_ccd
route_opt.flow.enable_ccd[/align][/td][td][align=right]setOptMode -usefulSkew true[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]place_opt.place.congestion_effort[/align][/td][td][align=right]setPlaceMode -place_global_cong_effort auto[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_ignored_layers[/align][/td][td][align=right]setMaxRouteLayer[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]add_tie_cells[/align][/td][td=608][align=right]setTieHiLoMode
addTieHiLo[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]add_buffer
magnet_placement[/align][/td][td][align=right]attachIOBuffer[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]create_cell[/align][/td][td][align=right]addInst[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]add_buffer
remove_buffer[/align][/td][td=608][align=right]ecoAddRepeater
ecoDeleteRepeater[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]group_path -default
group_path[/align][/td][td=608][align=right]highlight
dehighlight[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]create_mode
create_corner
create_scenario
get_object_name [all_scenarios]
all_corners
all_modes
set_scenario_status
report_scenarios[/align][/td][td=608][align=right]create_constraint_mode
create_library_set
create_rc_corner
create_delay_corner
create_analysis_view
all_analysis_views
all_delay_corners
all_constraint_modes
all_rc_corners
set_analysis_view
set_power_analysis_mode
report_analysis_views
all_setup_analysis_views
all_hold_analysis_views
all_analysis_views[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]create_routing_guide
create_routing_blockage[/align][/td][td=608][align=right]createRouteBlk[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]read_saif
set_switching_activity[/align][/td][td=608][align=right]readActivityFile
set_switching_activity[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right][/td][td]specifySpareGate[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]set_process
opt.power.effort
opt.timing.effort
opt.area.effort[/align][/td][td][align=right]setDesignMode[/align][/td][/tr]
[tr][td][align=right][/td][td=441][/align][/td][td][align=right]set_ccopt_property[/align][/td][/tr]
[tr][td][align=right]flow[/align][/td][td][align=right]open_lib / open_block[/align][/td][td][align=right]restoreDesign[/align][/td][/tr]
[tr][td][align=right]flow[/align][/td][td][align=right]initialize_floorplan[/align][/td][td=608][align=right]init_design
floorPlan[/align][/td][/tr]
[tr][td][align=right]flow[/align][/td][td][align=right]place_opt[/align][/td][td][align=right]place_opt_design >= place_design + optDesign -preCTS[/align][/td][/tr]
[tr][td][align=right]flow[/align][/td][td][align=right]create_placement[/align][/td][td][align=right]place_design -noPrePlaceOpt[/align][/td][/tr]
[tr][td][align=right]flow[/align][/td][td][align=right]clock_opt[/align][/td][td][align=right]ccopt_design (-cts)[/align][/td][/tr]
[tr][td][align=right]flow[/align][/td][td=441][align=right]route_auto
route_opt[/align][/td][td][align=right]routeDesign[/align][/td][/tr]
[tr][td][align=right]rpt[/align][/td][td][align=right]report_qor[/align][/td][td][align=right]timeDesign[/align][/td][/tr]
[tr][td][align=right]rpt[/align][/td][td][align=right]report_constraint[/align][/td][td][align=right]report_constraint[/align][/td][/tr]
[tr][td][align=right]rpt[/align][/td][td][align=right]report_utilization[/align][/td][td][align=right]checkFPlan -reportUtil[/align][/td][/tr]
[tr][td][align=right]rpt[/align][/td][td][align=right]report_timing[/align][/td][td][align=right]report_timing[/align][/td][/tr]
[tr][td][align=right]rpt[/align][/td][td][align=right]report_clocks[/align][/td][td=608][align=right]report_clocks
report_clocks -uncertainty_table[/align][/td][/tr]
[tr][td][align=right]rpt[/align][/td][td][align=right][/td][td]summaryReport[/align][/td][/tr]
[tr][td][align=right]rpt[/align][/td][td][align=right]report_power[/align][/td][td][align=right]report_power[/align][/td][/tr]
[tr][td][align=right]rpt[/align][/td][td][align=right][/td][td]reportIsolation[/align][/td][/tr]
[tr][td][align=right][/td][td][/align][/td][td][align=right][/td][/tr]
[tr][td]check[/align][/td][td][align=right][/td][td]checkDesign[/align][/td][/tr]
[tr][td][align=right]check[/align][/td][td][align=right]check_legality[/align][/td][td][align=right]checkPlace[/align][/td][/tr]
[tr][td][align=right]check[/align][/td][td=441][align=right]check_pg_connectivity
check_routes[/align][/td][td=608][align=right]verifyConnectivity
verifyGeometry[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td][align=right]write_verilog[/align][/td][td][align=right]saveNetlist[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td][align=right]write_def[/align][/td][td=608][align=right]defOut[/align][/td][/tr]
[tr][td][align=right]import[/align][/td][td][align=right]read_def[/align][/td][td][align=right]defIn[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td=441][align=right]write_floorplan[/align][/td][td][align=right]writeFPlanScript[/align][/td][/tr]
[tr][td][align=right]import[/align][/td][td][align=right]source FP.tcl[/align][/td][td][align=right]loadFPlan[/align][/td][/tr]
[tr][td][align=right]import[/align][/td][td=441][align=right]load_upf
commit_upf[/align][/td][td=608][align=right]read_power_intent
commit_power_intent[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td=441][align=right]save_upf[/align][/td][td=608][align=right]write_power_intent[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td][align=right]write_parasitics[/align][/td][td=608][align=right]extractRC
rcOut[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td][align=right][/td][td=608]create_snapshot[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td][align=right]write_lef[/align][/td][td=608][align=right]write_lef_abstract[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td=441][align=right]write_gds
write_oasis[/align][/td][td=608][align=right]streamOut
oasisOut[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td=441][align=right][/td][td=608]do_extract_model[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td=441][align=right]write_sdc[/align][/td][td=608][align=right]write_sdc[/align][/td][/tr]
[tr][td][align=right]output[/align][/td][td=441][align=right]write_sdf[/align][/td][td=608][align=right]write_sdf[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]change_selection[/align][/td][td=608][align=right]select_obj ; deselect_obj
selectInst ; deselectInst
selectInstByCellName (ref_name) ; deselectInstByCellName
selectPin ; deselectPin
selectNet ; deselectNet
selectRouteBlk
deselectAll[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]get_selection[/align][/td][td][align=right]dbGet selected.name[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]get_lib_cells[/align][/td][td][align=right]dbGet head.libCells[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]get_site_defs
get_attribute [get_site_arrays] site_name[/align][/td][td=608][align=right]dbGet head.sites.name
dbGet top.fPlan.coreSite.name[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]create_site_row
remove_site_rows
get_site_rows
create_site_array[/align][/td][td=608][align=right]initCoreRow
createRow
deleteRow
dbGet top.fPlan.rows[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]create_track
remove_tracks
report_tracks
get_tracks[/align][/td][td=608][align=right]create_tracks
addTrack
deletTrack
report_tracks -prefer_only
dbGet top.fPlan.tracks[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]get_attribute [current_design] boundary
get_attribute [current_design] width
get_attribute [current_design] height[/align][/td][td=608][align=right]getObjFPlanPolygon Cell [dbGet top.name]
dbGet top.Fplan.box_sizex
dbGet top.Fplan.box_sizey[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]get_power_domains[/align][/td][td][align=right]dbGet top.pds[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]get_keepout_margins -of_objects [get_selection]
addHaloToBlock
deleteHaloFromBlock[/align][/td][td=608][align=right]dbGet selected.pHalo*
create_keepout_margin
remove_keepout_margins[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right][/td][td]deleteFiller[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right][/td][td=608]setendcapmode
addEndCap[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]get_flat_cells -filter is_macro_cell[/align][/td][td][align=right]dbGet -p2 top.insts.cell.baseClass block[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]gui_change_highlight -remove
gui_change_highlight -add[/align][/td][td=608][align=right]highlight
dehighlight[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]move_objects[/align][/td][td][align=right]move_obj[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]get_ports
get_terminals[/align][/td][td=608][align=right]dbGet top.terms
dbGet top.terms.pinShapes[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]ecoChangeCell[/align][/td][td][align=right]size_cell[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_dont_touch[/align][/td][td=608][align=right]dbSet [dbGet -p1 top.insts.name $name].dontTouch true ; set_dont_touch [get_cells $name]
dbSet [dbGetHInstByName $hname].dontTouchHports true[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_size_only[/align][/td][td][align=right]dbSet [dbGet -p1 top.insts.name $name].dontTouch sizeOk[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]set_attribute [get_lib_cells $lib_cell_name] included_purposes none[/align][/td][td][align=right]setDontUse; set_dont_use[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]close_lib[/align][/td][td][align=right]freeDesign[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]get_timing_path[/align][/td][td][align=right]report_timing -collection[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td=441][align=right]add_spare_cells
spread_spare_cells[/align][/td][td=608][align=right]addSpareInstance
specifySpareGate[/align][/td][/tr]
[tr][td][align=right]action[/align][/td][td][align=right]compute_area[/align][/td][td][align=right]dbShape -output area[/align][/td][/tr]
[/table][/size][/color]
|